home Преподавание Информационных Технологий в России
Открытая всероссийская конференция

[ Архив 2005 ]

 
Конференция

Информационное сообщение

Место проведения

Программа конференции

Участники

Фоторепортаж

Комитеты

Программный комитет

Организационный комитет

Спонсоры

IBM


Intel

Microsoft

Лаборатория Касперского



Инф. спонсоры

Открытые Системы



PC Week


Орг. поддержка

АПКИТ


ЛАНИТ-ТЕРКОМ


Форт-Росс


ОПЫТ НАУЧНО-ОБРАЗОВАТЕЛЬНОГО СОТРУДНИЧЕСТВА С ИТ-ФИРМАМИ

ХАХАНОВ В.И.
Харьковский национальный университет радиоэлектроники,
Украина, 61166, Харьков, пр. Ленина, 14,
тел. (+380)-57-7021326, e-mail: hahahov@kture.kharkov.ua

Семь лет назад кафедра Автоматизации Проектирования Вычислительной Техники (АПВТ) ХНУРЭ приняла на вооружение доктрину «От новых технологий в науке, через качество в образовании – к высокой квалификации в производстве». Для ее реализации необходимо было переориентировать научные и образовательные приоритеты на новейшие технологии и установление научно-технических контактов с мировыми лидерами в области компьютерной инженерии в лице американских и европейских корпораций, университетов и выдающихся ученых.

Приоритеные направления деятельности кафедры АПВТ:
  1. Вовлечение студентов всех факультетов в научную и международную деятельность, связанную с Hardware, Software Engineering;
  2. Выполнение научно-технических проектов с зарубежными партнерами;
  3. Подготовка научных кадров высшей квалификации;
  4. Организация и проведение научных конференций и семинаров;
  5. Издательская деятельность и публикации.
Научно-технические проекты кафедры АПВТ

Научная деятельность заключается в выполнении нескольких международных проектов с американской фирмой Aldec Inc., USA, Las Vegas, а также в тесном сотрудничестве ученых кафедры с ведущими университетами и фирмами мира. В проектах принимают участие 7 сотрудников, 19 аспирантов и студентов ХНУРЭ. В рамках студенческого элитного Aldec-клуба и учебной научно-исследовательской лаборатории «Проектирование и диагностика компьютерных систем и сетей» выполняются международные проекты:

  1. Sigetest – моделирование неисправностей и синтез тестов для сложных цифровых систем на кристаллах.
    Авторский коллектив: Владимир Обризан, Ольга Мельникова, Ярослав Мирошниченко, Александр Бабаев, Сергей Зайченко, Дмитрий Красильников, Евгений Проненко, Антон Бондаренко.
    Цель разработки – создание высокопроизводительной системы моделирования неисправностей, обрабатывающей цифровые изделия, насчитывающие миллионы вентилей.
    Решаемые задачи:
    • компиляция моделей цифровых устройств;
    • моделирование исправного поведения цифровых устройств;
    • анализ качества тестов путем моделирования неисправностей;
    • анализ тестопригодности устройств;
    • генерация тестов.
    Основные характеристики: входной язык – VHDL стандарт IEEE 1076-2002; размерность схемы – до 10 миллионов эквивалентных вентилей; объем тестов – свыше 10 миллионов векторов; интеграция с популярными САПР – Aldec Active-HDL, Synplicity Synplify, Synopsys Design Compiler.
    Система Sigetest не имеет отечественных аналогов и не уступает по быстродействию зарубежным, имея при этом стоимость на порядок ниже.
    Апробация – 11 публикаций и 40 материалов на международных конференциях по темам исследований.
    Внедрение – используется в составе системы Aldec Active-HDL при оценке качества тестов; используется в учебном процессе ХНУРЭ при проведении лабораторных работ на каф. АПВТ.
    Дипломы – победитель конкурса исследовательских проектов в области автоматизации проектирования интегральных схем 2003 года, проводимого фирмой Intel, США; победитель II и III этапов Всеукраинского конкурса программного обеспечения Экософт – 2005 в номинации «За профессиональное исполнение».
  2. Сферический мультипроцессор PRUS (Programmable Unlimited Systems) для решения булевых уравнений.
    Авторский коллектив: Владимир Обризан, Ирина Сысенко, Александр Бабаев, Дмитрий Красильников, Александр Адамов, Евгений Проненко.
    Цель разработки – создание однобитного мультипроцессора на кристалле, с матрично-сферической архитектурой, для быстрого решения систем булевых уравнений большой размерности с использованием параллельной, последовательной и конвейерной обработки булевых уравнений, записанных в базисе операций AND, OR, NOT, XOR.
    Решаемые задачи:
    • компиляция моделей цифровых устройств;
    • топологический анализ схемы;
    • оптимизация распределения булевых уравнений для процессоров PRUS;
    • генерация кода на языке ассемблера PRUS;
    • анализ эффективности распределения и решения булевых уравнений.
    Основные характеристики: входной язык – VHDL стандарт IEEE 1076-2002; интеграция с популярными САПР – Aldec Active-HDL, Synplicity Synplify, Synopsys Design Compiler; эмулирует устройства до 20 миллионов вентилей с затратами памяти 256 Мб. Технология уникальна и не имеет аналогов в мире. В проекте участвуют ученые и специалисты из Украины, США, Польши.
    Апробация: 1 публикация и 3 материала на международных конференциях по темам исследований.
    Внедрение – используется в составе системы Aldec Active-HDL при аппаратном ускорении верификации сложных цифровых устройств и в учебном процессе ХНУРЭ при проведении лабораторных работ на каф. АПВТ.
  3. Верификация сверхсложных цифровых систем на основе HES+ARM Board – HW/SW со-simulator.
    Авторский коллектив: Ирина Хаханова; Александр Бабаев; Сергей Зайченко.
    Цель разработки – существенное (в десятки раз) уменьшение времени проектирования цифровых систем на кристалле, насчитывающих миллионы вентилей, путем ранней верификации изделия на стадии системного описания.
    Решаемые задачи:
    • моделирование и отладка системы на кристалле, содержащей микропроцессор ARM и программные компоненты для него;
    • разработка и анализ IP-Core для считывания информации из видеофайлов, использующих алгоритм сжатия RLE;
    • разработка и анализ фильтров для видеоданных.
    Основные характеристики: язык описания аппаратуры – VHDL стандарт IEEE 1076-2002, язык для задания тестов – Verilog IEEE 1364-2001; языки для представления Software: С и С++, входные данные – AVI-файлы без компрессии или с RLE сжатием информации.
    Внедрение: используется фирмой Aldec в качестве аппаратурного акселератора для верификации и отладки сложных микропроцессорных систем на кристалле, а также для лабораторных работ по курсу «Проектирование систем на программируемой логике».
  4. IP-Core генератор, реализующий CORDIC алгоритм для быстрого вычисления тригонометрических функций в аппаратуре.
    Авторский коллектив: Мельникова Ольга, Мельник Дмитрий.
    Цель разработки – создание IP-Core генератора, реализующего алгоритм CORDIC для быстрого вычисления тригонометрических функций: синус, косинус, арктангенс, гиперболический синус, гиперболический косинус, гиперболический арктангенс, квадратный корень.
    Решаемые задачи:
    • обработка и анализ исходных данных;
    • генерация кода на языке VHDL для выбранной пользователем конфигурации.
    Основные характеристики:
    • 7 функциональных конфигураций: синус; косинус; арктангенс; гиперболический синус; гиперболический косинус; гиперболический арктангенс; квадратный корень;
    • Две архитектурные конфигурации: параллельная; последовательная;
    • Допольнительные модули преобразования фазы и компенсации масштабного коэффициента;
    • Диапозон входных и выходных данных 8 – 48 бит;
    • Максимальное количество итераций – 48.
    Апробация: 1 публикация на международном форуме.
    Внедрение: бета-версия на сайте фирмы Aldec.
  5. OpenTEST – система компьютерного тестирования знаний.
    Авторский коллектив – Напрасник Сергей, Цымбалюк Евгений.
    Цель разработки – контроль качества усвоения теоретического материала и тестирование приобретенных знаний и практических навыков.
    Решаемые задачи:
    • оценка успеваемости группы или отдельного студента в рамках всех тестов, одного теста или отдельно взятой темы;
    • оценка усвоения теоретического материала всеми студентами курса, группой или конкретным студентом;
    • автоматический анализ качества тестовых заданий.
    Основные характеристики:
    • работает локально, в Intranet и в Internet;
    • одновременное тестирование большого количества пользователей;
    • высокая безопасность, стабильность и масштабируемость;
    • наличие открытого исходного кода;
    • неограниченное количествово тестов, тем, вопросов и вариантов ответов;
    • импорт и экспорт тестов;
    • возможность работы на операционных системах Linux, Mac OS X, UNIX и Microsoft Windows;
    • отсутствие необходимости установки системы на каждый компьютер;
    • гибкая система разграничения доступа;
    • мощные средства для автоматического интеллектуального анализа качества тестовых вопросов;
    • возможность возобновить прерванное тестирование;
    • автоматическая шкала оценивания, основанная на сложном математическом анализе теста, сочетается с возможностью авторской установки границ интервалов шкалы оценивания;
    • бесплатное распространение.
    Апробация – 4 публикации и 5 материалов на международных конференциях по темам исследований.
    Внедрение – официальная компьютерная система тестирования ХНУРЭ.
    Дипломы – «За лучшее выступление и представление доклада» на международной научной конференции ВИРТ-2002; «За лучший студенческий доклад» на международной научной конференции ВИРТ-2003; победитель II и III этапов Всеукраинского конкурса программного обеспечения “Экософт – 2005” в номинации «За максимальное достижение цели».
  6. Assertions Engine (совместный проект: ХНУРЭ – Aldec).
    Авторский коллектив: Сергей Зайченко, Ольга Мельникова, Владимир Обризан (от ХНУРЭ); инженеры и ученые из США и Польши: Miroslaw Forczek, Katarzyna Hrynkiewicz, Tomasz Skuta, Marek Nadrowski, Wadim Dziedicz, Wojtek Filip, Daniel Mlynek (от компании Aldec).
    Цель разработки: создание быстродействующего программного комплекса по динамической проверке формальных темпоральных утверждений (temporal assertions), задаваемых на языках OpenVera Assertions (OVA) , Property Specification Language (PSL) и SystemVerilog, предназначенных для значительного сокращения трудовых затрат при функциональной верификации HDL-моделей цифровых устройств в рамках широко известных программных комплексов Aldec Active-HDL и Aldec Riviera.
    Решаемые задачи:
    • компиляция описаний темпоральных утверждений (OVA, PSL, SystemVerilog), создание абстрактной внутренней семантической модели ассерций;
    • программная стыковка (binding) модели темпоральных утверждений с HDL-моделью верифицируемого устройства;
    • оптимизация моделей утверждений в целях увеличения быстродействия во время моделирования;
    • создание внутренних структур данных в виде RTL-цепочек (RTL-Queues) и динамических детерминированных конечных автоматов (Dynamic Deterministic Finite State Machines) для моделирования и проверки утверждений в рамках HDL-симулятора;
    • генерация диагностической информации во время моделирования при проверке, сбое утверждений, визуализация данной информации для пользователя.
    Основные характеристики:
    • входные языки PSL, OVA, SystemVerilog 3.1a;
    • возможности стыковки с языками HDL-моделей: VHDL, Verilog, EDIF, SystemC;
    • интеграция в программные комплексы Aldec Active-HDL, Aldec Riviera;
    • существенное сокращение трудовых затрат инженеров по верификации за счет значительного упрощения процесса создания проверочных тестбенчей.
    Публикации: 3 статьи на ведущих европейских научных конференциях в данной предметной области.
  7. ASFTEST – система автоматического синтеза тестов для цифровых автоматов. Интегрирована в среду Active-HDL 5.1 – 6.3, Aldec Inc., USA и используется на рынке электронных технологий как средство для генерации тестов.
Организация и проведение научных конференций и семинаров
  1. Организована и проведена вторая международная (с участием ученых из 18 стран Европы и Америки) конференция IEEE EAST-WEST DESIGN & TEST CONFERENCE (EWDTC’04), которая включена в Planner общества IEEE и введена с прошлого года в ранг ежегодных конференций общества IEEE. По итогам работы конференции ей была присуждена Международная Премия Признания на заседании руководства IEEE. Организаторы конференции – 12 студентов и аспирантов кафедры АПВТ;
  2. В рамках Aldec-Club-Ukraine cилами кафедры проведена украинская олимпиада по языкам программирования и проектирования аппаратуры. Наш университет занял командное первое место по обеим дисциплинам среди технических вузов Украины. По результатам олимпиады 5 студентов получили именные стипендии Президента фирмы Aldec, доктора С. Гайдука. Использовались тесты из университетов: Las Vegas, Warsaw, Gliwice, Lodz, Tallinn;
  3. Научный семинар “Проектирование и диагностика компьютерных систем и сетей”. Имеет статус всеукраинского. Заседания – 1 раз в месяц. За 2004 год были заслушаны 12 диссертаций на предмет оппонирования. Среди них, докторские работы: Одесса, Львов, Запорожье; кандидатские: Таллинн, Винница, Донецк, Харьков (6 работ). Были предоставлены отзывы от университета на 4 диссертации, как от ведущей организации. Подготовлены и отправлены 23 отзыва на авторефераты в города Украины и СНГ.
Научно-технологические стажировки

В течение 2004 года зарубежные стажировки обеспечивались за счет грантов фирмы Aldec, европейской программы REASON, фирм Microsoft и Intel, Таллиннского технического университета, Варшавского политехнического университета. Три стажировки – в США.
В стажировках всего было задействовано восемь преподавателей и аспирантов (Кривуля Г.Ф., Хаханов В.И., Сысенко И.Ю., Скворцова О.Б., Хаханова И.В., Егоров А.А., Шевченко В.И., Мирошниченко Я.В.), а также 14 студентов университета (студент гр. ПОАС-00-1 Зайченко С.А. – 1 місяць, студент гр. СУА-01-1 Бабаев А.С. – 3 місяці, студентка гр. ІТП-99-1 Мельникова О.В. – 1 місяць, студент гр. КСС-00-4 Обризан В.И. – 1 місяць, студент гр. ЗИОД-01-2 Литовський В.Д. – 1 місяць, студент гр. СП-03-2 Проненко Є.А. – 2 місяці, студент гр. СП-99-1 Слюсаренко Є.А. – 1 місяць, студент гр. ПОАС-00-1 Шляховой А.В. – 1 місяць, студент гр. СП-99-1 Васильев К.А. – 1, студент гр. СП-00-2 Бондаренко А.Н. – 1 місяць, студент гр. ПОАС-00-3 Комиссаров А.С. – 1 місяць, студентка гр. СП-01-2 Гранкова Е.С. – 1 місяць, студент гр. ПОАС-00-1 Колесник А.С. – 1 місяць).
Интересен проект «Виртуоз» фирмы Intel, который ориентирован в максимальной степени на помощь университетам по вхождению в Болонский процесс. От нашей кафедры в нем участвовал инженер Ярослав Мирошниченко, изучая современные технологии программирования и проектирования по стандартам европейских университетов. Результат полугодовой стажировки – полностью подготовленный курс с методическими указаниями, слайд-лекциями, тест-вопросами, электронными лекциями с учетом стандартов европейских и американских университетов. В 2004 году 4 человека с кафедры АПВТ (Егоров А.А., Бабаев А.В., Бабич А.В., Каминская М.А.) прошли стажировку по IT-технологиям, организованную фирмой Microsoft.

Подготовка научных кадров

На кафедре защищено 2 диссертации, одна из них докторская. В текущем учебном году планируется 4 защиты, в том числе одна докторская (С.В. Чумаченко). Мотивация научных работ – сотрудничество с фирмами Aldec, Intel, Microsoft, Cadence, США. За последние 4 года на кафедре защитилось 12 человек. На кафедре сейчас имеется: 2 докторанта, 17 аспирантов и 6 кандидатов в аспирантуру 2005 года.

Публикации
  1. В текущем году совместно со студентами опубликовано 25 (8 со студентами) научных статей и 49 (29 со студентами) докладов на конференциях, в том числе 5 докладов европейского и американского уровня с выездом за рубеж. Два студента – Владимир Обризан, именной стипендиат (5 курс) и Ольга Мельникова (5 курс) имеют 14 и 15 соответственно научных работ – это очень хороший результат для университета;
  2. Совместно с телестудией ХНУРЭ выпущен фильм (35 минут) о международной деятельности кафедры, факультета КИУ и университета, приуроченный 40-летию факультета КИУ;
  3. При кафедре выпускаются 2 авторитетных всеукраинских издания: журналы “Радиоэлектроника и информатика”, “АСУ и приборы автоматики”, которые входят в перечень ВАК Украины. В текущем году значительно расширена география авторов – это ученые из стран: США, Англии, Украины, России, Польши, Германии, Франции, Швеции, Словакии, Белоруссии. Книжная палата обратилась с предложением выступить посредником для продажи журнала за рубеж.
Научно-исследовательская работа студентов

Осуществляется в рамках студенческого элитного Aldec-клуба и научного семинара “Проектирование и диагностика компьютерных систем и сетей”. Научный руководитель – Хаханов В.И. Aldec-клуб. Образовательное направление центра – технологии проектирования и тестирования сверхсложных цифровых систем на кристаллах: VHDL, Verilog, UNIX, C++, Digital System Design and Testing, English. Имеет 19 постоянных членов из числа студентов и аспирантов: Владимир Обризан, Ольга Мельникова, Ярослав Мирошниченко, Александр Егоров, Александр Бабаев, Сергей Зайченко, Дмитрий Красильников, Карина Мостовая, Антон Бондаренко, Марина Каминская, Дмитрий Мельник, Евгений Проненко, Ольга Лукашенко, Роман Яковлев, Александр Адамов, Филат Левченко, Наталья Процай, Артём Иванилов, Александр Саввутин; а также 7 человек из состава молодых преподавателей, кандидатов наук: Ковалев Е.В., Сысенко И.Ю., Чумаченко С.В., Хаханова И.В., Скворцова О.Б., Кулак Э.Н., Шкиль А.С.

Награды, гранты и премии

Aldec-клуб. 19 студентов получили гранты для стажировки за рубежом, 11 студентов – именные стипендии фирм Aldec и HPP по 100 и 150 гривен. Сейчас на курсах Aldec Club занимаются 60 студентов всех курсов практически всех факультетов ХНУРЭ. Студент Напрасник С.В. – Диплом МОН Украины за лучшую системную программу в номинации “За максимальное достижение цели”. Студент Обризан В.И. и другие – Диплом МОН Украины за лучшую проблемную программу в номинации “За максимальное достижение профессиональной цели”. Студент Зайченко С.А. – Диплом и приз лучшей прикладной студенческой программы в конкурсе, проведенном фирмой МИИК.
За научную разработку системы моделирования и тестирования цифровых изделий на кристаллах студенческий элитный центр “Aldec-Ukraine” получил престижную премию фирмы Intel в 2003 году.
Докторантка Чумаченко С.В. награждена дипломом «Молода людина року» в номинации «Научная деятельность» в конкурсе, который проводился Харьковским горисполкомом.
Достижения в области создания систем тестирования цифровых средств, которые проектируются, и высокоскоростных способов обработки данных, которыми занимается кафедра автоматизации проектирования вычислительной техники ХНУРЭ, были освещены дважды в телевизионных программах „Зеркало науки” от 10 октября 2004 г. и 27 октября 2004 г., а также Харьковской телерадиокомпанией «Корта» в программе «Визитка».

Материально-техническая помощь университету

От фирмы Aldec (USA): За 5 последних лет это: $120 000 в виде 90 грантов на стажировки студентов, аспирантов и сотрудников университета, 18 грантов для поездок на конференции, 12 компьютеров университету, в том числе и SUN-station, десятки книг по современным технологиям проектирования и лицензионных программных продуктов, 5-10 ежегодных стипендий лучшим студентам.
От фирмы Intel (USA) был получен грант на полугодовую стажировку по международной программе ВИРТУОЗ, связанной с подготовкой курса Software Engineering.
От фирмы Synopsys (USA) – 2 лицензионные программы для учебного процесса по курсам Electronic Design Automation.
От фирмы Virage Logic (USA) – Вице-президент компании и вице-президент общества IEEE Yervant Zorian любезно предоставил пакет стандартов для разработки цифровых систем и сетей на кристаллах.
От фирмы Microsoft (USA) – десятки новейших книг по компьютерным технологиям, сотни дисков с пакетами лицензионных программ Microsoft. Гранты на стажировку и обучение преподавателей современным компьютерным технологиям: администрирование MS Server 2003, СУБД MS SQL Server 2000, программирование на Visual Basic dotNet.
От Таллиннского технологического университета (Эстония) – пакет программ книг и методических указаний для разработки и тестирования цифровых систем и сетей на кристаллах.

Наши планы на 2005 год
  1. IEEE EAST-WEST DESIGN & TEST WORKSHOP. Odessa, Ukraine, September 15-19, 2005. The main target of the East-West Design & Test Workshop (EWDTW) is to exchange experiences between the scientific schools and experts of the Eastern and Western Europe as well as USA and other parts in the world in the field of design and test of electronic systems. The workshop aims at attracting scientists especially in the areas around the Black Sea – Ukraine, Russia, Bulgaria, Romania, Georgia, Armenia, Turkey and also Byelorussia, Baltic states and the countries in Central Asia. Submission deadline: June 15th, 2005;
  2. Выполнение финансовых договоров с германскими фирмами по технологии Outsourcing, связанных с HW/SW Engineering;
  3. Заключение договора о научно-образовательном сотрудничестве с Силезским техническим университетом, Польша;
  4. Публикация трех монографий: “Суммирование избранных рядов при проектировании радиоэлектронных устройств”,“Синтез цифровых систем на криссталлах”, “Дискретная математика для компьютерной инженерии”;
  5. Организовать стажировку 15 студентов и 10 преподавателей и аспирантов;
  6. Участвовать с докладами в международных конференциях: MIXDES’05, Krakov, Poland; Euromicro DSD Symposium 2005, Portugal, Porto; DAC’05, Anaheim, USA; European Test Symposium 2005, Tallinn, Estonia; DATE’05, Munchen, Germany;
  7. В 2005 году планируется защита трех кандидатских диссертаций (Егоров А.А., Каменюка Е.А., Бабич А.В.) и одной докторской (С.В. Чумаченко).
 

В начало :: О конференции :: Программа :: Доклады :: Контакты

Техническая поддержка сайта:
Copyright © АП КИТ, 2005
hosted by TERCOM
webmasters: perez&helga